CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA video

搜索资源列表

  1. 61EDA_C878

    0下载:
  2. fpga tv转vga 解码器adv7180,视频转换adv7123-fpga tv to vga,decoder adv7180,video converter adv7123
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2042711
    • 提供者:james
  1. video_board_schemtic1

    0下载:
  2. this the schemtic for hooking up a video encoding chip (SAA7121H) to a IDE connector so it can connect to a DE1 FPGA board or any other you fancy-this is the schemtic for hooking up a video encoding chip (SAA7121H) to a IDE connector so it can connec
  3. 所属分类:Video Capture

    • 发布日期:2017-03-22
    • 文件大小:27395
    • 提供者:ghost
  1. fjq3

    0下载:
  2. 提出了一种基于FPGA 的数字复接电路的设计方法, 将多路视频信息复用为一路信息, 利用光纤传输平台进行传输, 提高了传输效率和传输质量, 改善了环境适应性. 在AL TERA 公司 的Q uartu s II 软件平台下, 应用VHDL 语言进行硬件电路的设计, 并给出了仿真结果. 关键词 视频信息, FPGA , 数字复接, 光纤通信-Presents a FPGA-based digital multiplexing circuit design method, multi-c
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:157921
    • 提供者:renxiang
  1. Focusing-system

    1下载:
  2. 应用FPGA以及VHDL编程语言、视频输入芯片SAA7111和输出芯片SAA7120实现对某固定图像的自动调焦-Application of FPGA and VHDL programming language, video input and output chip SAA7120 SAA7111 chip implementation of a fixed image of the auto-focus
  3. 所属分类:VHDL编程

    • 发布日期:2013-06-25
    • 文件大小:1365645
    • 提供者:武夷道人
  1. RS3123

    0下载:
  2. Reed- So lomon (RS) 码是一种重要的纠错码, 它对随机性和突发性错误有极强的纠错能力, 广泛应用于 数字视频广播(DVB) 系统和其它数字通信领域。给出了一种GF (25) 域上的RS (31, 23) 编码器的实现算法, 介绍 了用现场可编程门阵列(FPGA ) 实现RS 编码器的原理和过程, 并给出了实现电路及其仿真的输出波形。-Reed-So lomon (RS) code is an important error-correcting code, its ra
  3. 所属分类:Communication

    • 发布日期:2016-03-31
    • 文件大小:360448
    • 提供者:王彬
  1. Video_and_image_Processing

    0下载:
  2. FPGA开发板实现图像处理 该例子包含了SOPC和NIOS代码,同时有PDF说明-FPGA development board for image processing of the case includes SOPC and NIOS code, while a PDF descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4486451
    • 提供者:gdr
  1. fpga

    1下载:
  2. 基于现场可编程门阵列( Fie ld Programmab le Ga teA rrays, FPGA )硬件平台和背景差分算法设计一个静态背景下 的视频移动目标检测与跟踪系统, 并详细给出系统的实现过程。检测结果表明: 采用FPGA硬件实现系统设计, 极大地提高了 系统的处理速度, 在静态背景下, 可以实时、准确地检测和跟踪到移动目标。-Based on field programmable gate array (Fie ld Programmab le Ga teA rrays,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:569427
    • 提供者:chdj
  1. DE2_Video_SOPC_Builder_Demos

    0下载:
  2. FPGA与SOPC相结合,开成发视频播放的软ip核,可以直接使用-The combination of FPGA and SOPC, open into the hair soft ip video core, can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-15
    • 文件大小:24405196
    • 提供者:xiuxiu
  1. FPGA-based

    0下载:
  2. 基于FPGA全景视频监控的设计,是pdf文档,希望对有需要的朋友有帮助-FPGA-based design of panoramic video surveillance is pdf document, want to help a friend in need
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:226055
    • 提供者:chipmunkcly
  1. -FPGA-digital-processor

    0下载:
  2. 基于FPGA的数字视频信号处理器设计,用与出路各种不同数字信号-The digital video signal based on FPGA, with processor design way out various digital signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:147672
    • 提供者:舍得
  1. H.264decodeVerilog

    1下载:
  2. 基于FPGA的EDA设计技术,用Verilog硬件设计语言解压缩H.264格式的视频压缩文件。-FPGA-based EDA design, using Verilog hardware design language decompress H.264 video compression format file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:883008
    • 提供者:吴文
  1. FPGA-SOPC-

    0下载:
  2. FPGA SOPC 视频图像处理...基于FPGA和SOPC的视频图像处理系统的研究 应芳琴 【摘要】:介绍... 1本项目研究的理论与实际意义近年来,视频图像处理系统以实时性强-Video image processing ... FPGA SOPC and SOPC FPGA-based video image processing system studies should fang Qin Abstract: Introduction ... a project of the theor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6419056
    • 提供者:tanzhang
  1. zhinengchezaishipingxitong

    0下载:
  2. 设计了车载视频显示系统,设计了基于FPGA系统结构的车载视频显示电路板,利用FPGA显示视频控制,采集通道时许控制等。-The on-board video display system design, design the system structure based on FPGA video shows the circuit board, using the FPGA show video control, acquisition channel make control, etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7006593
    • 提供者:刘伟
  1. fpga-vga

    0下载:
  2. 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示器的控制方法做了清晰的阐述,然后在此基础上使用FPGA设计V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5575744
    • 提供者:郭晓阳
  1. MATLAB--DSP-and-FPGA

    0下载:
  2. matlaB 图像处理视频教程,徐正高 高级应用工程师 MathWorks -matlaB DSP and FPGAMATLAB  Simulink  Signal Processing Toolbox  Image Processing Toolbox  Signal Processing Blockset  Video and Image Processing Blockset
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:415820
    • 提供者:曾统生
  1. Based-on-the-FPGA-VGA-display

    0下载:
  2. VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器。-VGA ( Video Graphics Array ) as a standard display interface is widely used. Using FPGA chips and EDA design method, can suit one s measures to local conditions, accord
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:216516
    • 提供者:wyy
  1. action_vip_TVbox

    0下载:
  2. FPGA视频采集卡!使用FPGA采集CCD模拟信号,并DA转换为数字信号,用VGA输出显示!-FPGA video capture card! CCD analog signal using FPGA acquisition, and the DA is converted to a digital signal with VGA output!
  3. 所属分类:MPI

    • 发布日期:2017-11-14
    • 文件大小:4933632
    • 提供者:lee
  1. Columbia-University-on-VGA-video

    0下载:
  2. vga的现实原理与实际应用,基于DE2 FPGA开发板的应用,很透彻,很贴切,很有参考价值-vga reality principle and practical application, based on the application of the DE2 FPGA development board, very thorough, very appropriate, great reference value
  3. 所属分类:Picture Viewer

    • 发布日期:2017-11-21
    • 文件大小:382573
    • 提供者:wangxing
  1. FPGA-based-video-system

    0下载:
  2. 基于FPGA的视频图像采集及监控系统设计-FPGA-based the the the the video image acquisition and the the monitoring system of design of
  3. 所属分类:File Formats

    • 发布日期:2017-11-12
    • 文件大小:402168
    • 提供者:pl
  1. Face_Detect

    1下载:
  2. 基于FPGA的视频中的人脸检测算法,亲测可用-FPGA video face detection algorithm, pro-test available
  3. 所属分类:Video Capture

    • 发布日期:2016-05-05
    • 文件大小:2048
    • 提供者:pl
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com